multisim中的字发生器如何产生相位相差90度的两个方波

来源:学生作业帮助网 编辑:作业帮 时间:2024/05/16 00:50:34
multisim中的字发生器如何产生相位相差90度的两个方波

multisim中的字发生器如何产生相位相差90度的两个方波
multisim中的字发生器如何产生相位相差90度的两个方波

multisim中的字发生器如何产生相位相差90度的两个方波
设置rise/falltime 就行了